library ieee; use ieee.std_logic_1164.all; entity BasculeD_reset_async is port( clk, d, preset, reset, enable: in std_logic; q: out std_logic); end BasculeD_reset_async; architecture arch of BasculeD_reset_async is begin process(clk) begin if reset = '1' then q <= '0'; elsif preset = '1' then q <= '1'; elsif (clk'event and clk = '1' and enable = '1') then --rising_edge(clk) q <= d; end if; end process; end arch;